Verilog vhdl vergleichtrabajos

Filtro

Mis búsquedas recientes
Filtrar por:
Presupuesto
a
a
a
Tipo
Habilidades
Idiomas
    Estado del trabajo
    2,000 verilog vhdl vergleich trabajados encontrados, precios en USD

    Soy un estudiante de ingeniería electrónica que necesita aprender VHDL, XILINX y dsp. Busco alguien que pueda crear un conjunto de tutoriales en vídeo, audio y texto para ayudarme a debugear y escribir código. Estoy buscando tutoriales y clases para diseccionar y detallar algunas aspectos de un proyecto Estoy ansioso por encontrar alguien para ayudarme a que logre mis metas de aprender estás herramientas y que me sienta a gusto cuando they llegue la hora de aplicarlo.

    $140 (Avg Bid)
    $140 Oferta promedio
    5 ofertas
    Tutoria y codificacion Finalizado left

    Proyecto en xilinx empleando VHDL, clases y verificación de códigos

    $20 (Avg Bid)
    $20 Oferta promedio
    2 ofertas
    simulación Xilinx ISE Finalizado left

    Implementar, simular FFT en entorno xilinx o alguna plataforma similar , bajo la plataforma Atlys Spartan-6. Simular e implementar FFT en dicha plataforma, desarrollar código VHDL y detallar minuciosamente paso a paso, tomar captures y realizar documento de word detallando cada paso la oferta es de 90 usdt. Se cuenta con la tarjeta en físico por lo cual se ofrece conexión remota, ante cualquier duda estoy abierto a conversar

    $180 (Avg Bid)
    $180 Oferta promedio
    5 ofertas
    Descifrado verilog Finalizado left

    A partir del codigo de cisfrado, que facilito. Implementar el codigo para descifrado. Para ello se Implementará el algoritmo de descifrado y comprobarás su funcionamiento usando el mensaje cifrado como entrada y la clave operativa (MSBF). Si la simulación es correcta, el resultado será un bloque de 64 bits a cero (u ocho bytes a cero). A continuación, descrifrarás el mensaje cifrado que faciltaré con la clave operativa asociada. Y colocarás el mensaje en claro en la caja de texto de la tarea. Se proporcionará todos los archivos, claves en privado. Se necesita para el día 2 de Noviembre, es una tarea de estudios, fácil. El tiempo estimado de trabajo es 30 min porque el codigo de cisfrado lo tengo, solo es modif...

    $28 (Avg Bid)
    $28 Oferta promedio
    2 ofertas

    Diseño de circuito VHDL en vivado

    $20 (Avg Bid)
    $20 Oferta promedio
    2 ofertas

    Buenas! Veréis tengo que hacer el TFG, tengo casi hecho el código en VHDL, pero yo creo XILINX me vacila. Tengo que entregarlo antes de diciembre y necesito que alguien me lo consiga a hacer porque yo solo no lo saco. Adjunto las entidades que tengo hechas, esta casi todo ya escrito solo me falta que me funcione, que no se por que, pero no me funciona.

    $8 - $31
    $8 - $31
    0 ofertas

    Requiero un contador / cronometro que pueda contar de 0 a 99.9 segs, se debera entregar codigo fuente en VHDL / Vivado asi como resultado de simulaciones

    $25 (Avg Bid)
    $25 Oferta promedio
    1 ofertas

    Hola Miguel Angel, dominas VHDL? Si es así creo este proyecto para hablar contigo más ya que tengo un requerimiento pequeñito para resolver. Seguimos hablando por aquí.

    $8 (Avg Bid)
    $8 Oferta promedio
    1 ofertas

    Hola Jorge Eduardo, como estamos? Dominas VHDL? Necesito un poco de ayuda con un pequeño proyecto. Seguimos hablando por aquí.

    $8 (Avg Bid)
    $8 Oferta promedio
    1 ofertas

    Hi Jorge Luis, necesito ayuda con una cuestión de VHDL bastante sencilla si fuera posible. hablame por aquí y concretamos. es un poco urgente

    $8 - $8
    $8 - $8
    0 ofertas

    Implemente un sistema de ecualización en tiempo real de tres bandas (bajos, medios y altos) en el FPGA de xilixn. Desarrolle los tres filtros necesarios para el ecualizador, los puede establecer en matlab o labview. Una vez definidos los coeficientes del filtro impleméntelos en el FPGA (a través de Matlab, Laview o Multisim). Se establece un bonus de 4 puntos para el grupo que lo implemente en código VHDL.

    $179 (Avg Bid)
    $179 Oferta promedio
    4 ofertas
    Diseño FPGAs en VHDL Finalizado left

    Proyecto enfocado al diseño VHDL sobre FPGAS. Desarrollo de código y de bancos de pruebas, verificación del funcionamiento y resolución de algunas cuestiones. Tiene que estar terminado para el día 17 de diciembre. Se adjunta toda la descripción de lo que hay que hacer, así como unas plantillas para las soluciones y algunos bancos de pruebas.

    $30 (Avg Bid)
    $30 Oferta promedio
    1 ofertas

    El objetivo general del presente proyecto consiste en la realización, verificación funcional y validación experimental de un microcontrolador sencillo basado en un subconjunto de la arquitectura del juego de instrucciones del RISC-V. El microcontrolador debe ser descrito en SystemVerilog de modo que sea sintetizable y pueda ser implementado en una FPGA Cyclone IV de Altera. Su validación experimental se realizará en el laboratorio mediante una aplicación sencilla propuesta por cada grupo que haga uso de los recursos hardware disponibles en el módulo de test. El proyecto abarca por tanto los aspectos de verificación funcional, descripción de hardware empleando SystemVerilog, implementación de un sistema digital integrado ...

    $155 (Avg Bid)
    $155 Oferta promedio
    1 ofertas

    El objetivo general del presente proyecto consiste en la realización, verificación funcional y validación experimental de un microcontrolador sencillo basado en un subconjunto de la arquitectura del juego de instrucciones del RISC-V. El microcontrolador debe ser descrito en SystemVerilog de modo que sea sintetizable y pueda ser implementado en una FPGA Cyclone IV de Altera. Su validación experimental se realizará en el laboratorio mediante una aplicación sencilla propuesta por cada grupo que haga uso de los recursos hardware disponibles en el módulo de test. El proyecto abarca por tanto los aspectos de verificación funcional, descripción de hardware empleando SystemVerilog, implementación de un sistema digital integrado ...

    $206 (Avg Bid)
    $206 Oferta promedio
    3 ofertas

    Necesito hacer un programa en VHDL de un reloj (formato 24hs), con cronometro y con alarma. Cuando cambio a cada uno. no se debe perder la cuenta de la hora, cronometro o la alarma seteada. El reloj, la alarma y el cronometro se debe poder cargar/modificar manualmente. Detención y reinicio del cronometro. Cuando la hora del alarma coincida con el clock, prender los (o algún) led. Se deberá implementar algún tipo de barrido multiplexado para el uso de los 4 dígitos “7 segmentos”.

    $180 (Avg Bid)
    $180 Oferta promedio
    1 ofertas

    necesito transmitir datos numericos entre la fpga nexys 3 y el pc, usando protocolo uart por medio del puerto serial uart, comunicacion asincrona, el proyecto requiere que se lea un numero en binario tomado desde los switchs que trae la tarjeta y muestre el valor ingresado en form...fpga nexys 3 y el pc, usando protocolo uart por medio del puerto serial uart, comunicacion asincrona, el proyecto requiere que se lea un numero en binario tomado desde los switchs que trae la tarjeta y muestre el valor ingresado en formato decimal en el lcd 7 segmentos, adicional a eso que esta información sea transmitida via puerto uart al computador. los entregarles son el codigo hecho en verilog,( make file, archivos.v ) ademas de brindar una breve explicacion del trabajo realizado. hay un p...

    $33 / hr (Avg Bid)
    $33 / hr Oferta promedio
    5 ofertas

    Necesito para nuestro equipo de 15 ingenieros incorporar dos nuevos ingenieros con ilusión, cierta experiencia y conocimientos en VHDL/Verilog y microprocesadores. Es trabajo a tiempo completo y con estabilidad (2 años). Ubicación: Sevilla y Albacete. Uno en cada sitio.

    $19552 - $48880
    $19552 - $48880
    0 ofertas
    Desarrollar software Finalizado left

    Modificaciones y rutinas extras para- gestión de dispositivos procesado de imágenes video / foto reducción de tiempo de procesado Ubicación Tres Cantos, Madrid Conocimientos de FPGAs / VHDL un plus trabajo a realizar en Abril 2017

    $14 / hr (Avg Bid)
    $14 / hr Oferta promedio
    14 ofertas

    Controlar la velocidad de un motor mediante PID usando encoder, en lenguaje VHDL para la tarjeta Basys 2 Spartan 3.

    $412 (Avg Bid)
    $412 Oferta promedio
    2 ofertas
    Programar VHDL Basys 2 Finalizado left

    Ascensor 4 pisos, mediante una targeta basys 2 en una spartan 3e

    $145 (Avg Bid)
    $145 Oferta promedio
    5 ofertas

    necesito realizar proyectos en la tarjeta Nexys 2 vhdl del fabricante que tiene el procesador spartan 3E de xilinx practicamente lo que busco es un manual tecnico de como descargar los softwares necesarios para el trabajo, describir paso a paso de como realizar un programa utilizando el puerto vga de la tarjeta , en concreto un programa completo basado VHDL que me permita con este programa piloto modificarlo para generar otros programas  basados en el puerto VGA  

    $146 (Avg Bid)
    $146 Oferta promedio
    1 ofertas

    Realiza un circuito básico de PWM donde el tiempo en alto pueda modificarse en pasos de 10%. Simula el circuito y comprueba su funcionamiento. Deben verse varias consignas, compronado que la anchura de la salida es la correcta. Para este apartado puedes realizar una compilación funcional. ? Cambiando el tipo de compilación a no-funcional, compila el diseño eligiendo el dispositivo FLEX10KRC240-2. Utiliza las herramientas de MaxPlusII para obtener la frecuencia máxima de trabajo a la que puede funcionar el sistema. Mirando la información que aparece en el report file (fichero .rpt), indica el porcentaje de recursos lógicos que ocupa tu diseño. ? Escribe los resultados en un documento y mándaselo al profesor, junto con un archivo...

    $244 (Avg Bid)
    $244 Oferta promedio
    1 ofertas

    Particular busca urgente programador para tarea REMUNERADA en vhdl (facililla). Se trata de una práctica de 3º de telecomunicaciones para entregar en 10 días. Texto tarea: Realiza un circuito básico de PWM donde el tiempo en alto pueda modificarse en pasos de 10%. Simula el circuito y comprueba su funcionamiento. Deben verse varias consignas, compronado que la anchura de la salida es la correcta. Para este apartado puedes realizar una compilación funcional. ● Cambiando el tipo de compilación a no-funcional, compila el diseño eligiendo el dispositivo FLEX10KRC240-2. Utiliza las herramientas de MaxPlusII para obtener la frecuencia máxima de trabajo a la que puede funcionar el sistema. Mirando la información que apar...

    $28 (Avg Bid)
    $28 Oferta promedio
    5 ofertas

    Soy de colombia Programar un juego llamado simon dice En VHDL y en el programa llamado Xilinx Simón dice Colores  El juego Simón dice colores es un juego de memoria donde el jugador deberá seguir la secuencia de colores que “Simón”  aleatoriamente va generando.  cada uno asociado con un color (verde, amarillo, azul y  rojo). Cada acierto de la secuencia completa de colores por parte del jugador incrementa el nivel y Simón agrega un nuevo  color a la secuencia. El juego termina cuando el jugador se equivoque o cuando alcance el número máximo de niveles para  los que fue diseñado el juego, el cual en ningún caso deberá ser menor a 32 niveles. 

    $244 (Avg Bid)
    $244 Oferta promedio
    1 ofertas

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must...Squirrel. Firmware must bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality over speed. However, I am eager to commence with the right cand...

    $542 (Avg Bid)
    $542 Oferta promedio
    31 ofertas

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    $12 (Avg Bid)
    $12 Oferta promedio
    2 ofertas
    Verilog-Moore FSM 4 días left

    I'm seeking highly skilled Verilog programmers with a solid understanding of Moore state machines and Structural models. In this project, you will design a moore coffee machine with verilog on vivado Key responsibilities: - Create a Verilog project according to the tasks mentioned in the document. Everyt task must work correctly. Skills and experience required: - Proficient in Verilog programming. - Previous experience with Moore FSMs. - Knowledge in working with input/output functions in Verilog. - Deep understanding and practice with structural models. Experience in creating similar designs will be a significant advantage. This is an excellent opportunity for a programmer expert in creating practical Verilog designs with ease.

    $22 (Avg Bid)
    $22 Oferta promedio
    6 ofertas

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on this project.

    $131 (Avg Bid)
    $131 Oferta promedio
    8 ofertas

    I require an expert who can proficiently implement a digital algorithm using Verilog code. The hardware of choice for this undertaking is an FPGA (Field Programmable Gate Array). The complexity level of the algorithm is simple, encompassing basic mathematical operations. Ideal Skills: - Proficient in Verilog. - Experience with FPGA. - Strong understanding of basic mathematical operations. Responsibilities: - Outline and implement a digital algorithm. - Validate the functional correctness of the design. - Perform final checks and tests ensuring operational efficiency on the FPGA device. I welcome professionals who maintain high standards of performance and have prior experience working on a similar task. Your expertise will largely determine the success of this project.

    $43 (Avg Bid)
    $43 Oferta promedio
    13 ofertas
    Urgent Intermediate Circuit Simulation Finalizado left
    VERIFICADO

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    $95 (Avg Bid)
    $95 Oferta promedio
    24 ofertas

    I need a VHDL coding expert capable of creating a code for an Intel FPGA that will allow for audio and video output in analog format. The ideal freelancer will: - Be proficient in VHDL, FPGA programming and signal processing - Have a rich experience with Intel FPGA models - Understanding the intricacies of analog audio and video signals This job will require creating a reliable and effective VHDL code that will deliver high-quality audio and video signals to reflect the input accurately. Please bid if you are confident in your ability to deliver this project.

    $506 (Avg Bid)
    $506 Oferta promedio
    6 ofertas

    I'm in need of an individual skilled in Verilog who can help me achieve a specific task. - Task: Your main responsibility would be writing Verilog code for a simple module implementation. This does not involve complex system level code designs or CPU architecture. - Objective: The primary objective of the module is to model a specific digital logic circuit. The project does not require interaction with other modules or utilization of specific hardware components. The ideal candidate would possess: - Solid experience in Verilog coding, - Expertise in digital circuits, - Strong understanding of digital logic circuits, - A meticulous approach to ensure accuracy in modeling the required digital logic circuit. If you are passionate about Verilog and love c...

    $108 (Avg Bid)
    $108 Oferta promedio
    13 ofertas

    ...achieving a specific task. I already have a code for core RISC-V in system Verilog and need help running it in my Linux based virtual machine. The final objective includes enabling a basic load counter and comprehending the code thoroughly. need to create a presentation for my Verilog project. For the first task, I need to count the number of instructions entering the pipeline after a mispredicted branch, which is important for security. I need to run a test code to measure performance and count the flushed instructions. Can you help with this in Verilog? We can work together on it and complete the presentation.? To achieve the listed tasks, the freelancer should possess: - Expert knowledge and experience in working with Verilog, - Proficiency in executi...

    $11 / hr (Avg Bid)
    $11 / hr Oferta promedio
    7 ofertas

    I am seeking a proficient electronic engineer with an in-depth understanding of VHDL (high level logic design) it's related to xlinx and vivado

    $28 (Avg Bid)
    $28 Oferta promedio
    7 ofertas

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise in schematic ...

    $52 / hr (Avg Bid)
    $52 / hr Oferta promedio
    7 ofertas
    Review VHDL Code Finalizado left

    We've written some VHDL code for FPGA we need someone to adapt it to our coding standard. we will share a report of all violations for a set of files and the VHDL code shall be modified as specified in the coding standard. To make an example all signals shall be names s_<signal_name>, signal test_sig : std_logic; -- violation! signal s_test_sig : std_logic; -- correct the code will be shared with a Gitlab repo, a dedicatd branch will be created to modify the original code. the code shall be compiled, to chekc no errors were introduced. as soon as the code is delivered we wil rerun the checker to chek for residual errors, and we would provide a feedback (unless the freelancer has the same checker tool)

    $484 (Avg Bid)
    $484 Oferta promedio
    28 ofertas

    I'm currently in search of an expert in electronics, Specifically FPGA's and Verilog who can assist in creating a 32 channel logic analyzer. The analyzer's primary role will be to facilitate the debugging of digital circuits, analyzing serial communications, and examining micro-controller signals and digital protocols. Key Responsibilities: - Design and create an analyzer able to decipher multiple digital protocols including CAN, SPI, I2C, UART, RS422, RS485, i2C 1-Wire, and SIM devices. - Equip the analyzer with a unique functionality to understand and interpret data in custom HEX, Bianry and ASCII formats. Ideal Experience and Skills: - Proficient in digital protocols and encoding. - Extensive experience in electronics, especially in creating and debugging logic ...

    $583 (Avg Bid)
    $583 Oferta promedio
    32 ofertas

    Its about vintage videogames I have a project that make emulation of cartridges. Each original Cartridge have circuits with ASIC customs called " mappers ". Theses mappers circuits are not available for sale, because was made in 90 years. Some hackers rebuild functionality of Asics throught verilog, and implemented in a single cartdridge with ALL mappers inside. In Github are The opensource project , and ALL mappers writed in verilog are available separated. My Idea is take one a one mapper and generate a output file and burn in individual hardware , like Xillinks XC9572 or XC95144 because The original project use hard weight hardware,like a

    $521 (Avg Bid)
    $521 Oferta promedio
    12 ofertas

    Hi, I need someone really experienced in FPGA, DSP, and specifically IFF signlas. I need to create an algorithim to detecet different modes of IFF signals and classify them. then display the data of the signals. I will aslo need someone to finalize the verilog code, help me with testing, debugging of my project, it will be a long time agreement not just a task to be done. I am hoping to collaborate with a diligent engineer who accurately interprets given instructions and is capable of delivering quality work. I am looking forward to your proposals.

    $601 (Avg Bid)
    $601 Oferta promedio
    14 ofertas

    I need someone proficient in FPGA programming and matrix manipulations to develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing proc...develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing processes for small-sized matrices • Ability to handle medium-sized matrices • Building the necessary verilog code and TB to facilitate and optimize the matrix multiplication on the PYNQ boards Here are the critical skills and experience needed for the job: • Familiarity with PYNQ FPGA programming • Experience working with PYNQ Boards • Deep understanding of matrix operations • Proficient...

    $39 (Avg Bid)
    $39 Oferta promedio
    2 ofertas

    Bundle of 4 entry level Logic Design outputs --->Verilog , 4-bit wide 2:1 MUX ,Mealy machine , and a little more

    $131 (Avg Bid)
    $131 Oferta promedio
    25 ofertas

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. And tested using exported bitstream binaries. Note: if ...

    $8250 (Avg Bid)
    $8250 Oferta promedio
    8 ofertas

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. Note: if you want to make a bid, please respond with yo...

    $4125 (Avg Bid)
    Acuerdo de Confidencialidad
    $4125 Oferta promedio
    4 ofertas

    As an FPGA expert, my project demands crucial implementation and testing of FPGA-based systems, along with adept ...transferred and received properly coz its serial data. if the data received equal to certain vaule ( the value i serialized before sending ) then LED is on, or use a method to display the received data. I am also forwarding 2 clocks that i need to receive at the same frequency they were set in the input. Critical Skills - Proficiency in Verilog - Prevailing experience with Xilinx FPGAs Ideal freelancers will possess substantial experience using Verilog for FPGA programming and have a strong background in Xilinx products. Solid debugging skills and the ability to devise efficient tests for FPGA-based systems are a must. ALL CODES ARE DONE, I JUST NEED SOMEONE...

    $57 (Avg Bid)
    $57 Oferta promedio
    7 ofertas

    ...the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The chall...

    $56405 (Avg Bid)
    $56405 Oferta promedio
    9 ofertas

    ...allen Einzelheiten zu gelangen. Und danach natürlich wieder zurück zur Bilderliste. Parallel ist eine Suchfuntion vorzusehen. Nach Vergabe des Projekts werden einige Links zu bestehenden Webseiten zur Verfügung gestellt, die ähnlich konzipiert sind - als Inspirationsquelle. Das Online Werkverzeichnis von Max Beckmann gibt aber z.B. schon eine gute Idee, in welche Richtung es gehen soll. Im Vergleich zur bisherigen Webseite soll der Internetauftritt moderner und professioneller gestaltet werden. 4. Zeithorizont Es besteht keine besondere Dringlichkeit, das Projekt sollte aber vor dem August 2024 abgeschlossen werden. 5. Budget Strukturell ist die Modernisierung der Webseite ein ziemlich einfaches Projekt. Die Webseite wird auch nur aus kunsthistorischem...

    $529 (Avg Bid)
    $529 Oferta promedio
    45 ofertas

    ...project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or experience in FPGA-based design, especially those involving DSP or video processing. - Proficient in C/C++ for algorithm development and HDL (VHDL/Verilog) for hardware description. - Knowledge of optimization techniques for power efficiency and performance maximization in FPGA designs. - Ability to work with simulation tools and perform thorough validation and testing of the designed hardware. My project calls for an innovative and technically skilled freelancer who can navigate the complexities of advanced FPGA design. I...

    $15 / hr (Avg Bid)
    $15 / hr Oferta promedio
    9 ofertas

    I am seeking a talented programmer to develop an encryption algorithm using Verilog language, which will be implemented using FPGA in Xilinx. Key Requirements: - Comprehensive understanding of Verilog programming and encryption algorithms - Extensive experience in FPGA implementation - Proficiency in Xilinx The ideal candidate should be capable of creating an efficient and secure encryption system from scratch. Your algorithm will be tested for security, efficiency, and performance during Evaluation. Please include examples of relevant previous work in your bid. Thank you.

    $123 (Avg Bid)
    $123 Oferta promedio
    4 ofertas

    ...seeking a highly skilled freelancer with expertise in Verilog for an advanced system design and optimization project targeting FPGA (Field-Programmable Gate Array). This project demands someone who can navigate complex Verilog coding with ease and contribute to significant system improvements. **Core Requirements**: - Profound knowledge in Verilog coding, specifically for FPGA platforms. - Experience in advanced system design and optimization. - Capability to work through complex modules and processes to deliver optimized solutions. **Ideal Skills and Experience**: - Strong background in FPGA-based design and development. - Proficient in writing, analyzing, and optimizing Verilog code. - Familiarity with debugging and testing Verilog code in a real F...

    $86 (Avg Bid)
    $86 Oferta promedio
    4 ofertas

    ...FPGA-based game similar to a classic crossing road game with a twist. Required Skills: - Proficient in VHDL programming - Experience with FPGA design and implementation - Familiar with character movement logic - Ability to implement a scoring system into FPGA projects Project Requirements: - Design VHDL code specifically for an FPGA target device - Develop code that allows character movement within the game - Create a scoring system to track and display the player's score -able to score to reach a target and gameover point -able to control the game using fpga or keyboard - able to connect via vga Ideal Candidate: - You should have a portfolio demonstrating previous work with FPGAs and VHDL. - Experience in game development or simulation is highly desirable...

    $146 (Avg Bid)
    $146 Oferta promedio
    12 ofertas