E1 verilogtrabajos

Filtro

Mis búsquedas recientes
Filtrar por:
Presupuesto
a
a
a
Tipo
Habilidades
Idiomas
    Estado del trabajo
    2,000 e1 verilog trabajados encontrados, precios en USD

    As an FPGA expert, my project demands crucial implementation and testing of FPGA-based systems, along with adept ...transferred and received properly coz its serial data. if the data received equal to certain vaule ( the value i serialized before sending ) then LED is on, or use a method to display the received data. I am also forwarding 2 clocks that i need to receive at the same frequency they were set in the input. Critical Skills - Proficiency in Verilog - Prevailing experience with Xilinx FPGAs Ideal freelancers will possess substantial experience using Verilog for FPGA programming and have a strong background in Xilinx products. Solid debugging skills and the ability to devise efficient tests for FPGA-based systems are a must. ALL CODES ARE DONE, I JUST NEED SOMEONE...

    $57 (Avg Bid)
    $57 Oferta promedio
    7 ofertas

    ...Defining the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The cha...

    $56405 (Avg Bid)
    $56405 Oferta promedio
    9 ofertas

    ...project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or experience in FPGA-based design, especially those involving DSP or video processing. - Proficient in C/C++ for algorithm development and HDL (VHDL/Verilog) for hardware description. - Knowledge of optimization techniques for power efficiency and performance maximization in FPGA designs. - Ability to work with simulation tools and perform thorough validation and testing of the designed hardware. My project calls for an innovative and technically skilled freelancer who can navigate the complexities of advanced FPGA design. If you h...

    $15 / hr (Avg Bid)
    $15 / hr Oferta promedio
    9 ofertas

    I am seeking a talented programmer to develop an encryption algorithm using Verilog language, which will be implemented using FPGA in Xilinx. Key Requirements: - Comprehensive understanding of Verilog programming and encryption algorithms - Extensive experience in FPGA implementation - Proficiency in Xilinx The ideal candidate should be capable of creating an efficient and secure encryption system from scratch. Your algorithm will be tested for security, efficiency, and performance during Evaluation. Please include examples of relevant previous work in your bid. Thank you.

    $123 (Avg Bid)
    $123 Oferta promedio
    4 ofertas

    ...seeking a highly skilled freelancer with expertise in Verilog for an advanced system design and optimization project targeting FPGA (Field-Programmable Gate Array). This project demands someone who can navigate complex Verilog coding with ease and contribute to significant system improvements. **Core Requirements**: - Profound knowledge in Verilog coding, specifically for FPGA platforms. - Experience in advanced system design and optimization. - Capability to work through complex modules and processes to deliver optimized solutions. **Ideal Skills and Experience**: - Strong background in FPGA-based design and development. - Proficient in writing, analyzing, and optimizing Verilog code. - Familiarity with debugging and testing Verilog code in a real F...

    $86 (Avg Bid)
    $86 Oferta promedio
    4 ofertas
    OPEN PROJECT #2401-e1 Finalizado left

    OPEN PROJECT #2401-e1 per messages

    $92 (Avg Bid)
    $92 Oferta promedio
    11 ofertas

    I'm seeking a talented freelancer with expertise in FPGA development to assist in implementing high-level synthesis (HLS) algorithms on the Vitis platform. I have a solid background in HLS but need guidance with Vitis integration. Requirements: • Experience with Vitis platform and HLS technology • Proficiency in Verilog programming • Past work with image, data encryption, or signal processing algorithms. Ideal Skills: • FPGA design and development • HLS algorithm optimization for performance • Familiarity with Xilinx development tools • Ability to write clean, maintainable code Goals: • To effectively integrate HLS algorithms into a Vitis workflow • To optimize algorithm performance on an FPGA architecture I look forward to colla...

    $325 (Avg Bid)
    $325 Oferta promedio
    3 ofertas

    I require an experienced freelancer conversant in Verilog and familiar with Vivado tools to help expedite my digital circuit project. Efficiency and expertise are paramount to meet my project milestones. Key Tasks: - Synthesize and implement Verilog code - Optimize digital circuit designs using Vivado Skills Needed: - Proficient in Verilog - Proficient with Xilinx Vivado Suite - Strong in circuit synthesis and implementation - Ability to write clean, maintainable code - Experience with digital circuit design and simulation - Solid understanding of FPGA workflows Ideal Experience: - Previous successful FPGA projects - Proven track record with Vivado IDE - Strong debugging skills If you are a detail-oriented problem solver with the skills mentioned above and have a h...

    $92 (Avg Bid)
    $92 Oferta promedio
    9 ofertas

    I'm seeking a highly skilled Verilog engineer to design and implement an AES encryption and decryption system. This project has been initiated with the purpose of ensuring data security and as such, the successful applicant must be experienced in the field of cryptography. Proficiency in Verilog and a clear knowledge of encryption methods, particularly AES, are crucial for the success of this project. In your application, kindly provide: - Details of your past experiences related to Verilog - Any relevant project proposals you've worked on, showcasing your proficiency in developing AES systems. The deadline for completion of the project will be within one month. Please keep this timeframe in mind as you consider your application and potential schedule. I...

    $391 (Avg Bid)
    $391 Oferta promedio
    8 ofertas

    Reference Image Gallery of What I need 3D Modeled. It will be for making a glowing sign/lamp. I would like someone with 3D printing experience or CAD work experience. I only need the Black and Green parts of the model designed. I already have the logo file in 3d model form. I am looking for a very accurate version using the dimensions listed in the drawings I shared with dimensions for a1-b1-c1-d1-e1-f1-g and a2-b2-c2-d2-e2-f2-g as referenced. Reference Images and Video - I require an experienced 3D modeler to create parts for a personal project. Using the reference images provided, you'll be tasked with making a 3D model, suitable for 3D printing. The output I require is the .STL file, logically separating

    $36 (Avg Bid)
    $36 Oferta promedio
    34 ofertas

    Looking for a shirt designed for E1 Archery products, the website is and i've attached the logo as well as an old shirt design for another business for inspiration, but wanting it to be different to this :) Looking to have the logo on the shirt obviously.

    $19 (Avg Bid)
    Garantizado
    $19
    168 participaciones

    ...Implement 4x4 matrix and 2x2 kernel convolution. - Employ kogge stone adder for efficiency. - Integrate vedic multiplier for rapid multiplication. - Ensure system operates with integer value precision. - Target design is for an FPGA using Verilog or VHDL. **Ideal Skills** - Proficiency in FPGA programming. - Strong background in digital arithmetic circuits. - Experience with kogge stone adders and vedic multipliers. - Knowledge of image processing techniques. - Use of Shifting , multiplication and addition in performing 2d convolution - Fluent in Verilog or VHDL coding. - Ability to optimize for power, area, and speed. **Project Deliverables** - Optimized HDL code for the convolution system. - Synthesis and simulation results demonstrating performance. - Documentation ou...

    $232 (Avg Bid)
    $232 Oferta promedio
    2 ofertas

    I'm seeking a proficient Verilog developer to implement a 2D convolution for the following image processing applications: edge detection and object recognition. • Core Requirements: - An extensive understanding of 2D Convolution and its application in edge detection and object recognition - Strong proficiency in Verilog programming language • Device specifications: - The 2D convolution algorithm needs to be coded specifically for an ASIC/FPGA device. Prior experience with designing for this platform is essential. This project demands precision, an in-depth understanding of image processing and excellent problem-solving skills. A proficiency in Verilog can significantly boost the effectiveness of the development process. Hence, expertise in ...

    $18 - $151
    $18 - $151
    0 ofertas
    verilog developer -- 2 Finalizado left

    ...an experienced Verilog developer with expertise in digital circuit design, creating testbenches, and debugging existing Verilog code. While I didn't specify any particular tasks, I encourage you to utilize your problem-solving skills to lead this project's technical aspects. To apply for this project, please include: 1. Past Work: Include examples of your previous projects, focusing on your ability to create and implement successful digital circuit designs. 2. Verilog Code Samples: Although I am interested in all aspects of your past work, I would particularly like to see examples of your work on testbench designs. Your ability to create effective and efficient testbenches will be crucial to this project. 3. Experience: Your track record and breadth of ...

    $13 (Avg Bid)
    $13 Oferta promedio
    5 ofertas

    For my project, I am looking for a proficient Verilog programmer to simulate the behavior of a mixed circuit. This is a hub project . there will be 4 layer of slave input . the module needs to OR the all data and forward to another level of slave.

    $421 (Avg Bid)
    $421 Oferta promedio
    10 ofertas
    verilog code Finalizado left

    I'm looking for an expert with an in-depth understanding of digital circuits and solid experience with Verilog to help me design a digital circuit. This project aims to create a I2S input (64FS) to a 20 bit serial data output module with separate left and right output channels according to AD1862 data input specification. Key tasks include: - Design Verilog code for specific functionalities - Proactively troubleshoot and rectify any issues during the design process The ideal candidate will have: - Excellent knowledge of Verilog and its applications - Understanding and experience in designing digital circuits - Proven problem-solving skills and attention to detail Please only bid if you have the relevant skills and experience. Thanks!

    $221 (Avg Bid)
    $221 Oferta promedio
    11 ofertas

    ...consectutively per key No more then 6 number consectutively per key The private keys in the hashtable cant have any of these values in them . This will drastically reduce the scan space Skills and Experience: - Advanced level of familiarity with FPGA and bitstream development - Strong understanding of FPGA architecture and programming languages - Proficiency in programming languages such as VHDL or Verilog - Experience in designing and implementing complex FPGA systems - Knowledge of hardware design principles and methodologies Project Requirements: - Develop a Kangaroo Pollard FPGA Bitstream for personal use - Create an operating application with specific features based on my requirements - Integrate the bitstream and operating application to work seamlessly together - Pro...

    $880 (Avg Bid)
    $880 Oferta promedio
    13 ofertas
    Set up a router Finalizado left

    I have a new broadband supply but am having difficulty setting up the router. The supply is a VDSL type. I have all the information for set up. The supply is live as this was connected last week. However I cannot program the router and need assistance. The property is in Heneage Street, London E1 5AZ. We opted for no phone line, just broadband. BT Openreach activated the service.

    $193 (Avg Bid)
    $193 Oferta promedio
    14 ofertas

    I am seeking a proficient Verilog programmer to construct a PID controller from the ground up to manage a DC motor using PWM. The controller will interface with a Sparton 6 - FPGA and a DC motor driver. The characteristics that should be managed by the PID controller are the speed and position of the motor. The ideal candidate will: - Have substantial Verilog programming experience - Be experienced with PID controller development - Have a deep familiarity with working in Xilinx ISE environment - Demonstrate a high competency in controlling motor speed and position via a PID controller Your work will be key in ensuring the optimal performance of the DC motor in its relevant application. Please only bid if you have the above-listed skills and experience and can deliver...

    $687 (Avg Bid)
    $687 Oferta promedio
    12 ofertas

    I am looking for a professional who can devise a code in Verilog-2001 for adaptive haze removal in image processing. Requirements: - Proficiency in Verilog-2001 coding - Strong understanding of image processing algorithms - Experience with adaptive haze removal techniques - Ability to implement and optimize the code for efficient processing Please note that I have a specific image processing algorithm in mind for the haze removal and I am relying on your expertise to implement it effectively.

    $262 (Avg Bid)
    $262 Oferta promedio
    4 ofertas

    ...and provide insightful advice on my current Verilog code. Here's what I need: Verilog Code Review: - The crux of the project is the design aspect of my Verilog code. I need a professional who can scrutinize the implementation, selecting the best practices and pointing out areas for improvement. Focus Areas: - The emphasis of this project is particularly on the implementation of design. I need you to ensure the functionality of the architecture and its alignment with the set objectives and tasks. Required Skills and Experience: - An ideal candidate should have extensive knowledge in Verilog programming and code review. - A strong understanding of digital design and implementation is critical. - Proficiency in evaluating the functionality of Verilo...

    $10 / hr (Avg Bid)
    $10 / hr Oferta promedio
    9 ofertas

    I have a requirement for an expert in the Mallet Algorithm to help reduce power consumption by 30% through the development of a Verilog code for an approximate multiplier. Ideal Candidate Should: - Have expertise in the Mallet Algorithm and its implementation. - Possess deep knowledge in power optimization in coding. - Be proficient in running codes on Vivado software. - Have demonstrable experience in power reduction through code optimization. The goal here is not just to write a code, it's to creatively utilize your expertise with the Mallet Algorithm in creating a power-efficient multiplier that will noticeably cut down operation costs.

    $25 (Avg Bid)
    $25 Oferta promedio
    8 ofertas

    ...opportunity involves the creation of a complex 32-channel logic analyzer using FPGA and providing the appropriate Verilog code. - High-Speed Data Capturing: The system should be capable of clocked data capture at 200MHz or higher. - PC Utility: The project includes the production of a suited PC Utility, specifically designed for Windows. This utility should be meticulously engineered to capture real-time data, save treasured data content, and thoroughly analyze vital capture data. - Protocol Interpretation: Essential to the utility's operation is its smooth understanding of the common protocols including UART, SPI, I2C. The ideal candidate will be proficient in FPGA programming and Verilog, with a sound background in Logic Analyzer systems. In-depth protocol un...

    $636 (Avg Bid)
    $636 Oferta promedio
    32 ofertas

    I am in need of a freelancer who is proficient in Verilog FPGA programming, specifically using the Lattice platform. The purpose of the FPGA programming is for signal processing. Requirements: - Proficiency in Verilog FPGA programming - Experience with ICESTUDIO - Experience of Lattice ICE40 - Deep understanding of vga framebuffers and signal processing Skills and Experience: - Strong knowledge of Verilog and FPGA programming - Previous experience with ICESTUDIO - Familiarity with signal processing algorithms and techniques If you have the necessary skills and experience, please submit your proposal.

    $197 (Avg Bid)
    $197 Oferta promedio
    13 ofertas
    Verilog coding -- 2 Finalizado left

    Hi. Here is the project to review some Verilog code which wont synthesize properly as discussed.

    $50 / hr (Avg Bid)
    $50 / hr Oferta promedio
    1 ofertas
    Verilog/Quartus II Finalizado left

    I am looking for an expert in Verilog/Quartus II I will share the details of my task in chat

    $20 (Avg Bid)
    $20 Oferta promedio
    8 ofertas

    Project Title: NTT hardware implementation verilog I am looking for a freelancer who can help me with the implementation of a Radix-2 NTT hardware in Verilog. Requirements: - Strong experience in Verilog programming - Knowledge of Radix-2 NTT algorithm - Familiarity with cryptography and encryption techniques The ideal candidate should: - Have experience in FPGA or ASIC technology - Be able to suggest suitable FPGA or ASIC technology for the implementation - Understand the specific requirements of cryptography in the context of NTT implementation This project is focused on the implementation of a Radix-2 NTT hardware for the purpose of cryptography. If you have the necessary skills and experience, please submit your proposal.

    $176 (Avg Bid)
    $176 Oferta promedio
    5 ofertas
    Rtl implement Finalizado left

    I am looking for a freelancer who can assist me with the RTL implementation for my digital circuit design project. Requirements: - Experience in digital circuit design and RTL implementation - Familiarity with Verilog programming language - Ability to work with limited guidance and rough design ideas Skills and Experience: - Proficiency in Verilog programming language - Strong knowledge of digital circuit design and RTL implementation - Ability to interpret and work with rough design ideas - Attention to detail and ability to problem-solve If you have the skills and experience required for this project, please submit your proposal.

    $77 (Avg Bid)
    $77 Oferta promedio
    13 ofertas

    I am looking for an experienced Verilog coder to help me design a simple digital circuit. I have a rough idea of what I want the circuit to do, but I am open to suggestions and input from the freelancer. The ideal candidate should have experience in designing digital circuits using Verilog and be able to work with a simple level of complexity.

    $89 (Avg Bid)
    $89 Oferta promedio
    12 ofertas

    Looking for people to attend an online business event via Zoom Requirements: - You are NOT required to speak, you just need to enter the meeting room on Zoom, and have your webcam turned on - Have a working computer with webcam - Smart casual / formal wear - Stay online during the event (1 hour) - Purpose of the event: Networking/Business - Demographic of attendees: General public/Business Professionals Skills and experience preferred: - Experience attending virtual events - Familiarity with Zoom Event: Technology Collaboration between Europe and China Date: 10:00-11:00 (GMT/UK TIME) 30 Nov 2023 *Please check the correct time in your timezone if you live outside United Kingdom Duration: 1 hour GUIDELINE for attendance: 1. Make sure you have already downloaded Zoom and registered an acc...

    $6 / hr (Avg Bid)
    $6 / hr Oferta promedio
    5 ofertas
    verilog programmer Finalizado left

    I am looking for a Verilog programmer who can assist me with designing circuits. Although I have a rough idea of the type of circuit I want, I am open to suggestions and creative input. The ideal candidate should have experience in Verilog programming and be able to design circuits efficiently and accurately. This project does not have a specific timeframe mentioned.

    $30 (Avg Bid)
    $30 Oferta promedio
    11 ofertas

    I am looking for someone who is good with verilog and system veriliog who can do the following : The idea of this application is to equifill rectangles in individual rows based on comparing strip heights and program heights. The design should use a decoder. for example : if you have row of height strip 8, it is checking 9, and 10 so it will compare 8 and 9 and then it will compare the minimum of 8 and 9 with 10. A table on page 2 of the attached document explains these comparisons with further examples. But, each time the program should only perform 3 comparisons. The program needs to use 8 clock cycles strictly. It needs to be a design that can be optimized. It needs to fit in the top level module (M216A_TopModule (2).v) that I have attached and it needs to work for all cases in...

    $553 (Avg Bid)
    $553 Oferta promedio
    10 ofertas
    Spreadsheet Finalizado left

    ...of brisk walking steps you have completed so far. * In cell C1, enter "Current Day of the Week" as a header. * In cell C2, enter the current day of the week as an integer (e.g., 4 for Thursday). * In cell D1, enter "Remaining Steps Needed" as a header. * In cell D2, enter the following formula to calculate the remaining steps needed:


Copy code=MAX(0, (7 * 3000) - A2) * 

 * In cell E1, enter "Remaining Days in the Week" as a header. * In cell E2, enter the following formula to calculate the remaining days in the week: Copy code =MAX(0, 7 - C2) * In cell F1, enter "Remaining Brisk Walking Steps Needed" as a header. * In cell F2, enter the following formula to calculate the remaining brisk walking steps needed: Copy code...

    $28 (Avg Bid)
    $28 Oferta promedio
    22 ofertas

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    $33333 (Avg Bid)
    $33333 Oferta promedio
    15 ofertas

    I am looking for a freelance developer to help me with a project involving writing to a LCD 16x2 display on a basys 1 FPGA with an i2c interface (PCF8574A). I would like the programmer to use Verilog, but I don't have experience with FPGA programming and I am open to suggestions for content and/or functionality for the display. If you have experience with FPGA programming and think you are a good fit for this project, I'd love to hear from you!

    $15 / hr (Avg Bid)
    $15 / hr Oferta promedio
    16 ofertas

    I am looking for someone who can provide me with an FPGA project in Verilog within a day, which should include the use of peripherals. Here are the details: Specific Peripherals: - UART - SPI - I2C Requirements and Constraints: - No specific requirements or constraints for the FPGA project Target Application: - Any application, such as data processing, signal processing, or control systems Ideal Skills and Experience: - Proficiency in Verilog and FPGA development - Experience with integrating peripherals into FPGA projects - Knowledge of UART, SPI, and I2C protocols If you have a Verilog FPGA project that includes the use of peripherals, please reach out to me. Thank you!

    $53 (Avg Bid)
    $53 Oferta promedio
    11 ofertas
    Trophy icon Need a Softball Event Flyer Created Finalizado left

    I need a softball flyer for a tournament. I am attaching a sample, our logo, two QR codes and our contact information. Below is ...our schedule and teams we are playing. I am looking for something original and eye catching. I need a relatively fast delivery. Please note Sunday is a different location. I will need: -Fully Layered PSD PNG JPG Information: Friday & Saturday Field: Reid Park 701 Orange St Riverside, California 92501 Schedule: Friday - 6:30pm Field 6 vs. Royalty Gold Silva Saturday - 8:00am Field 1 vs. E1 Prospects Garrison/Cabana 9:45am Field 1 vs. OC Batbusters Martin 11:30am Field 1 vs. Nevada Hotshots Gooch Sunday Field: Orange Terrace 20010 Orange Terrace Pkwy Riverside, California 92508 Sunday - 8:00am Field 5 vs. CV Blue Sox Elite R...

    $20 (Avg Bid)
    Garantizado
    $20
    18 participaciones

    I would like to implement a numerical interpolation in Verilog, more information will be supplied for the candidate

    $118 (Avg Bid)
    $118 Oferta promedio
    14 ofertas

    KP4-FEC ENCODER DECODER RS (544,514) including documentation and explanation. Verilog files and simple testbench to prove the run on Quartus II. 514 data symbols per codeword 544 data plus parity symbols per codeword Codeword size = 10 * 544 = 5440 bits Correcting capability up to 15 symbols within a codeword PAM4 modulation

    $144 (Avg Bid)
    $144 Oferta promedio
    10 ofertas

    ...your solution you should write out the null and alternative hypotheses and explain the testing procedure before conducting the corresponding testing procedure; in doing so, follow the discussions from section 3.4 of SW (the reference book of the course). Use a type I error of 5%. 5. E Run a regression of ahe on a constant, age, female and bachelor and provide the regression results (as a table). E1 If age increases from 25 to 26, then how much are earnings expected to change? E2 If age increases from 33 to 34, then how much are earnings expected to change? 6. F Run a regression of ln(ahe) on a constant, age, female and bachelor. F1 If age increases from 25 to 26, then how much are earnings expected to change? F2 If age increases from 33 to 34, then how much are earnings exp...

    $129 (Avg Bid)
    $129 Oferta promedio
    15 ofertas

    I need a simple Verilog code (that it's not too complex, understandable for a begginer) written in Vivado which will connect camera OV7670 to board Nexys 4DDR and output video on a monitor through the VGA port. I will also need the .xdc completed based on the inputs and outputs used (constraints file) and an explanation for the code. I am looking for someone who can complete this project in 1 - 2 months. Thank you for your help!

    $185 (Avg Bid)
    $185 Oferta promedio
    13 ofertas

    I need help with the implementation of SHA 512 on an FPGA platform. I prefer to use the Xilinx platform, and I would like the programming language to be Verilog. I need the project to be completed in 2-3 days. I know this is a short timeline but I'm confident that with the right expert, it can be done. Please let me know if you have any questions or require more information.

    $417 (Avg Bid)
    $417 Oferta promedio
    5 ofertas

    ...degree; C4 males without a bachelor degree; D Run a regression of ahe on a constant, age, female and bachelor and provide the regression results (as a table). D1 If age increases from 25 to 26, then how much are earnings expected to change? D2 If age increases from 33 to 34, then how much are earnings expected to change E Run a regression of ln(ahe) on a constant, age, female and bachelor. E1 If age increases from 25 to 26, then how much are earnings expected to change? E2 If age increases from 33 to 34, then how much are earnings expected to change? F Run a regression of ln(ahe) on a constant, ln(age), female and bachelor. F1 If age increases from 25 to 26, then how much are earnings expected to change? F2 If age increases from 33 to 34, then how much are earnings expec...

    $90 (Avg Bid)
    $90 Oferta promedio
    17 ofertas

    ...Payments are only placed after proof of work. This is the start of a series of activities, so we intend to keep working with the professional if the work is promptly and well done. Basic Clean up of drawings: - Follow the format for sizes, fonts, purged elements, clean file, - Use Polylines, Circles and MText only, - Use the given Block References only, - Use the given layers only: A1 (Architecture), E1 (Electrical 1), E2 (Electrical 2), Defpoints, 0. Symbolic Clean up of drawings: - Redraw the information into the given format, - Separate and arrange each Single Line Drawing in the Model, as shown in the format - Separate and present each Single Line Drawing into separate Layouts (a total of 18 sheets approx.. for the uploaded drawings), with a requested given Sheet Template...

    $114 (Avg Bid)
    $114 Oferta promedio
    50 ofertas

    Verilog code for a Karatsuba multiplier with parallelism - Desired bit width for the multiplier: 32 bits - Test-bench verification required: Yes - Specific deadline for the project: Within 1 week preferably in 3 days Ideal Skills and Experience: - Proficiency in Verilog coding - Experience in designing and implementing Karatsuba multipliers - Knowledge of parallelism in Verilog - Ability to create and execute test benches for verification - Strong understanding of digital logic and arithmetic operations

    $52 (Avg Bid)
    $52 Oferta promedio
    6 ofertas

    I'm looking for an experienced engineer to write a Verilog code that implements the behavior of a single neuron. The input signals required will be 4, so the complexity should be intermediate. As for design constraints or requirements, I don't have any specific ones, but I do have some preferences for the implementation. The activation function should be a sigmoid function. Any other details, to be discussed when you bid.

    $116 (Avg Bid)
    $116 Oferta promedio
    4 ofertas

    I am looking for a skilled FPGA designer with experience in Xilinx Zynq7010 EBAZ Verilog to develop a permutation enumeration counter. The counter should have the following functionality and requirements: Functionality: - The counter should be able to increment indefinitely. - The counter shall initialize to the starting position on reset. - The counter shall toggle a minimum number of bits, like Grey Code. Requirements: - The project should be implemented using Verilog for Xilinx Zynq7010 EBAZ board. The Verilog function shall be parameterized PermCtr(K, N) - The counter should be designed to efficiently handle permutation enumeration. - The design should be optimized for performance and resource utilization. - If possible, I would like the counter to only enumerat...

    $191 (Avg Bid)
    $191 Oferta promedio
    15 ofertas
    Verilog hoemwork Finalizado left

    Verilog Homework Project I am looking for a freelancer to help me with my Verilog homework. I need assistance with a specific Verilog task, which could involve designing a circuit, writing testbenches, or debugging existing code. Deadline and Complexity: - Unfortunately, the client did not provide information regarding the deadline or the level of complexity for the Verilog task. Therefore, it is important for interested freelancers to clarify these details with the client before proceeding. Skills and Experience: - Proficiency in Verilog programming language - Experience with designing circuits, writing testbenches, and debugging Verilog code - Strong problem-solving and debugging skills - Attention to detail and ability to follow specificati...

    $390 (Avg Bid)
    $390 Oferta promedio
    9 ofertas

    ...":-53,"mac":"96:a4:08:b9:1a:bc"},{"rssi":-67,"mac":"96:a4:08:89:d7:f8"},{"rssi":-70,"mac":"c4:e5:32:a8:63:86"},{"rssi":-76,"mac":"b4:3d:08:ac:f8:6e"}]","battery_charge":1,"bluetooth":"[{"rssi":0,"fwVer":0,"name":"u0000u0000u0000u0000u0000u0000u0000u0000u0000u0000","temperature":"31.1","humidity":"52.6","sensor":"x:0,y:0,z:0","mac":"e1:79:3c:f6:f8:c6","voltage":"0.000"},{"rssi":0,"fwVer":0,"name":...

    $188 (Avg Bid)
    $188 Oferta promedio
    5 ofertas